" vim: ft=xpt " XPTemplate template file. " " Language: VHDL " Maintainer: Simon Ruderich " License: GPL v3+ XPTemplate priority=lang let s:f = g:XPTfuncs() XPTinclude \ _common/common XPT f library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; `cursor^ XPT slv std_logic_vector(`from^ downto `to^) XPT entity entity `name^ is port( `cursor^ ); end entity; XPT architecture architecture `behavioral^ of `entity^ is begin `cursor^ end architecture; XPT process process`list^ begin `cursor^ end process; XPT if if `condition^ then `cursor^ end if;