X-Git-Url: https://ruderich.org/simon/gitweb/?p=config%2Fdotfiles.git;a=blobdiff_plain;f=vim%2Fvim%2Fftplugin%2Fvhdl%2Fvhdl.xpt.vim;h=fdcb88dd37be51646b8f58ba8d0725dab4b4b174;hp=6707b9c52e231298d5a9984216d59bbcb2ee347a;hb=cb8c25658a0d72967316317942e236192ddc1eab;hpb=df1fa9a41a9f7c763bf46438cdbd2441b7c6075f diff --git a/vim/vim/ftplugin/vhdl/vhdl.xpt.vim b/vim/vim/ftplugin/vhdl/vhdl.xpt.vim index 6707b9c..fdcb88d 100644 --- a/vim/vim/ftplugin/vhdl/vhdl.xpt.vim +++ b/vim/vim/ftplugin/vhdl/vhdl.xpt.vim @@ -6,21 +6,6 @@ " Maintainer: Simon Ruderich " License: GPL v3+ -" Copyright (C) 2014 Simon Ruderich -" -" This file is free software: you can redistribute it and/or modify -" it under the terms of the GNU General Public License as published by -" the Free Software Foundation, either version 3 of the License, or -" (at your option) any later version. -" -" This file is distributed in the hope that it will be useful, -" but WITHOUT ANY WARRANTY; without even the implied warranty of -" MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -" GNU General Public License for more details. -" -" You should have received a copy of the GNU General Public License -" along with this file. If not, see . - XPTemplate priority=lang