From 608699cd71b2d45b86c255eef3d6ad8e4fba59f7 Mon Sep 17 00:00:00 2001 From: Simon Ruderich Date: Tue, 29 Apr 2014 16:08:10 +0200 Subject: [PATCH] xptemplate: use f as trigger to create the basic skeleton f for file. --- vim/ftplugin/c/c.xpt.vim | 4 ++-- vim/ftplugin/java/java.xpt.vim | 4 ++-- vim/ftplugin/perl/perl.xpt.vim | 2 +- vim/ftplugin/vhdl/vhdl.xpt.vim | 2 +- 4 files changed, 6 insertions(+), 6 deletions(-) diff --git a/vim/ftplugin/c/c.xpt.vim b/vim/ftplugin/c/c.xpt.vim index 588d7c2..aaeb7db 100644 --- a/vim/ftplugin/c/c.xpt.vim +++ b/vim/ftplugin/c/c.xpt.vim @@ -6,7 +6,7 @@ " Maintainer: Simon Ruderich " License: GPL v3+ -" Copyright (C) 2012 Simon Ruderich +" Copyright (C) 2012-2014 Simon Ruderich " " This file is free software: you can redistribute it and/or modify " it under the terms of the GNU General Public License as published by @@ -30,7 +30,7 @@ endif XPTemplate priority=personal -XPT c +XPT f XSET description|post=S(V(), '^description$', 'XXX') /* * `description^ diff --git a/vim/ftplugin/java/java.xpt.vim b/vim/ftplugin/java/java.xpt.vim index 38a25f2..c6749c8 100644 --- a/vim/ftplugin/java/java.xpt.vim +++ b/vim/ftplugin/java/java.xpt.vim @@ -6,7 +6,7 @@ " Maintainer: Simon Ruderich " License: GPL v3+ -" Copyright (C) 2012 Simon Ruderich +" Copyright (C) 2012-2014 Simon Ruderich " " This file is free software: you can redistribute it and/or modify " it under the terms of the GNU General Public License as published by @@ -30,7 +30,7 @@ endif XPTemplate priority=personal -XPT java +XPT f XSET description|post=S(V(), '^description$', 'XXX') /* * `description^ diff --git a/vim/ftplugin/perl/perl.xpt.vim b/vim/ftplugin/perl/perl.xpt.vim index 9c734be..c2b4314 100644 --- a/vim/ftplugin/perl/perl.xpt.vim +++ b/vim/ftplugin/perl/perl.xpt.vim @@ -30,7 +30,7 @@ endif XPTemplate priority=personal -XPT perl +XPT f XSET description|post=S(V(), '^description$', 'XXX') #!/usr/bin/perl diff --git a/vim/ftplugin/vhdl/vhdl.xpt.vim b/vim/ftplugin/vhdl/vhdl.xpt.vim index adf93b2..6707b9c 100644 --- a/vim/ftplugin/vhdl/vhdl.xpt.vim +++ b/vim/ftplugin/vhdl/vhdl.xpt.vim @@ -30,7 +30,7 @@ XPTinclude \ _common/common -XPT vhdl +XPT f library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- 2.43.2