X-Git-Url: https://ruderich.org/simon/gitweb/?a=blobdiff_plain;f=vim%2Fftplugin%2Fvhdl%2Fvhdl.xpt.vim;h=6707b9c52e231298d5a9984216d59bbcb2ee347a;hb=5771ca8df4e87590b3778d881841981437f2bd1e;hp=adf93b2ddd4fdca68de8c6b28063a3bac4f0cfa1;hpb=005ce3d0ee890555ce3dd0c71de790370e6d3e53;p=config%2Fdotfiles.git diff --git a/vim/ftplugin/vhdl/vhdl.xpt.vim b/vim/ftplugin/vhdl/vhdl.xpt.vim index adf93b2..6707b9c 100644 --- a/vim/ftplugin/vhdl/vhdl.xpt.vim +++ b/vim/ftplugin/vhdl/vhdl.xpt.vim @@ -30,7 +30,7 @@ XPTinclude \ _common/common -XPT vhdl +XPT f library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all;