X-Git-Url: https://ruderich.org/simon/gitweb/?a=blobdiff_plain;f=vim%2Fvim%2Fftplugin%2Fvhdl%2Fvhdl.xpt.vim;fp=vim%2Fvim%2Fftplugin%2Fvhdl%2Fvhdl.xpt.vim;h=6707b9c52e231298d5a9984216d59bbcb2ee347a;hb=58f1d82fd556e0aec9c69b41c7f0faf8fe6369b6;hp=0000000000000000000000000000000000000000;hpb=b0086513fce699422e101d079820316b1a7a438d;p=config%2Fdotfiles.git diff --git a/vim/vim/ftplugin/vhdl/vhdl.xpt.vim b/vim/vim/ftplugin/vhdl/vhdl.xpt.vim new file mode 100644 index 0000000..6707b9c --- /dev/null +++ b/vim/vim/ftplugin/vhdl/vhdl.xpt.vim @@ -0,0 +1,67 @@ +" vim: ft=xpt + +" XPTemplate template file. +" +" Language: VHDL +" Maintainer: Simon Ruderich +" License: GPL v3+ + +" Copyright (C) 2014 Simon Ruderich +" +" This file is free software: you can redistribute it and/or modify +" it under the terms of the GNU General Public License as published by +" the Free Software Foundation, either version 3 of the License, or +" (at your option) any later version. +" +" This file is distributed in the hope that it will be useful, +" but WITHOUT ANY WARRANTY; without even the implied warranty of +" MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +" GNU General Public License for more details. +" +" You should have received a copy of the GNU General Public License +" along with this file. If not, see . + + +XPTemplate priority=lang + +let s:f = g:XPTfuncs() + +XPTinclude + \ _common/common + + +XPT f +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +`cursor^ + + +XPT slv +std_logic_vector(`from^ downto `to^) + + +XPT entity +entity `name^ is + port( + `cursor^ + ); +end entity; + +XPT architecture +architecture `behavioral^ of `entity^ is +begin + `cursor^ +end architecture; + +XPT process +process`list^ +begin + `cursor^ +end process; + +XPT if +if `condition^ then + `cursor^ +end if;