]> ruderich.org/simon Gitweb - config/dotfiles.git/log
config/dotfiles.git
10 years agoplugin/repeat.vim: Replace with Git submodule.
Simon Ruderich [Sun, 21 Jul 2013 10:56:01 +0000 (12:56 +0200)]
plugin/repeat.vim: Replace with Git submodule.

Also update to version 1.1.

10 years agoautoload/pathogen.vim: Update to 2.2, revision 1270dce.
Simon Ruderich [Sun, 21 Jul 2013 10:37:37 +0000 (12:37 +0200)]
autoload/pathogen.vim: Update to 2.2, revision 1270dce.

Git revision 1270dceb1fe0ca35f8b292c7b41b45b42c5a0cc1 from [1].

[1]: https://github.com/tpope/vim-pathogen

10 years agobundle/surround: Replace with Git submodule.
Simon Ruderich [Sun, 21 Jul 2013 10:26:13 +0000 (12:26 +0200)]
bundle/surround: Replace with Git submodule.

10 years agobundle/nerdcommenter: Replace with Git submodule.
Simon Ruderich [Sun, 21 Jul 2013 10:12:24 +0000 (12:12 +0200)]
bundle/nerdcommenter: Replace with Git submodule.

10 years agocolors/simon.vim: Disable reverse for TabLineFill.
Simon Ruderich [Fri, 5 Jul 2013 11:39:25 +0000 (13:39 +0200)]
colors/simon.vim: Disable reverse for TabLineFill.

11 years agovimrc: Search "do not edit" header in the first 20 lines only.
Simon Ruderich [Fri, 19 Apr 2013 19:59:42 +0000 (21:59 +0200)]
vimrc: Search "do not edit" header in the first 20 lines only.

11 years agovim/bundle/gundo: Add as submodule.
Simon Ruderich [Sat, 13 Apr 2013 01:58:34 +0000 (03:58 +0200)]
vim/bundle/gundo: Add as submodule.

11 years ago.gitmodules: Use HTTPS URLs.
Simon Ruderich [Sat, 13 Apr 2013 01:49:43 +0000 (03:49 +0200)]
.gitmodules: Use HTTPS URLs.

11 years agovimrc: Fix 'include' for sh files.
Simon Ruderich [Thu, 11 Apr 2013 14:02:34 +0000 (16:02 +0200)]
vimrc: Fix 'include' for sh files.

11 years agoafter/ftplugin/mail.vim: Move cursor after replies.
Simon Ruderich [Wed, 10 Apr 2013 01:37:19 +0000 (03:37 +0200)]
after/ftplugin/mail.vim: Move cursor after replies.

11 years agoafter/ftplugin/mail.vim: Use normal! instead of normal.
Simon Ruderich [Mon, 1 Apr 2013 21:48:58 +0000 (23:48 +0200)]
after/ftplugin/mail.vim: Use normal! instead of normal.

normal! doesn't use mappings.

11 years agoftplugin/mail.vim: Simplify regex to remove trailing whitespace.
Simon Ruderich [Mon, 1 Apr 2013 21:45:26 +0000 (23:45 +0200)]
ftplugin/mail.vim: Simplify regex to remove trailing whitespace.

11 years agoafter/ftplugin/mail.vim: Add missing timezone.
Simon Ruderich [Mon, 1 Apr 2013 21:45:10 +0000 (23:45 +0200)]
after/ftplugin/mail.vim: Add missing timezone.

11 years agovim/bundle/screenpaste: Remove.
Simon Ruderich [Sat, 16 Mar 2013 16:44:14 +0000 (17:44 +0100)]
vim/bundle/screenpaste: Remove.

11 years agosetup.sh: Minor documentation update.
Simon Ruderich [Mon, 11 Mar 2013 13:34:46 +0000 (14:34 +0100)]
setup.sh: Minor documentation update.

11 years agosetup.sh: Run `make` in vim/spell/ if it exists.
Simon Ruderich [Sun, 10 Mar 2013 23:08:39 +0000 (00:08 +0100)]
setup.sh: Run `make` in vim/spell/ if it exists.

11 years ago.gitignore: Update.
Simon Ruderich [Sun, 10 Mar 2013 23:08:24 +0000 (00:08 +0100)]
.gitignore: Update.

11 years ago.gitignore: Simplify.
Simon Ruderich [Sun, 10 Mar 2013 23:07:06 +0000 (00:07 +0100)]
.gitignore: Simplify.

11 years agosetup.sh: Add set -e.
Simon Ruderich [Sun, 10 Mar 2013 23:04:32 +0000 (00:04 +0100)]
setup.sh: Add set -e.

11 years agobundle/ctrlp: Update to version 1.79.
Simon Ruderich [Sun, 10 Mar 2013 15:04:27 +0000 (16:04 +0100)]
bundle/ctrlp: Update to version 1.79.

Which is commit c1646e3c28d75bcc834af4836f4c6e12296ba891.

11 years agovimrc: Display unexpected BOM in statusline.
Simon Ruderich [Tue, 12 Feb 2013 21:53:03 +0000 (22:53 +0100)]
vimrc: Display unexpected BOM in statusline.

11 years agovimrc: Don't create ~/.vim/.netrwhist.
Simon Ruderich [Sat, 9 Feb 2013 20:18:57 +0000 (21:18 +0100)]
vimrc: Don't create ~/.vim/.netrwhist.

11 years agovimrc: Always open in the current window with CtrlP.
Simon Ruderich [Sat, 9 Feb 2013 20:17:39 +0000 (21:17 +0100)]
vimrc: Always open in the current window with CtrlP.

11 years agovimrc: Minor documentation updates.
Simon Ruderich [Sat, 9 Feb 2013 20:15:25 +0000 (21:15 +0100)]
vimrc: Minor documentation updates.

11 years agovim/bundle/ctrlp: Update to 78303a2b5fe4fcfecda0de1c7832fad60859fb63.
Simon Ruderich [Sun, 20 Jan 2013 16:51:23 +0000 (17:51 +0100)]
vim/bundle/ctrlp: Update to 78303a2b5fe4fcfecda0de1c7832fad60859fb63.

11 years agoafter/syntax/diff.vim: Add highlights for GVim.
Simon Ruderich [Sun, 30 Dec 2012 22:14:28 +0000 (23:14 +0100)]
after/syntax/diff.vim: Add highlights for GVim.

11 years agovimrc: <SID> is only necessary for mappings.
Simon Ruderich [Sat, 29 Dec 2012 02:35:26 +0000 (03:35 +0100)]
vimrc: <SID> is only necessary for mappings.

11 years agobundle/ctrlp: Update to 5b98c7453f53453d4a0ebb5dc73d1f65ce676dcd.
Simon Ruderich [Thu, 20 Dec 2012 21:23:12 +0000 (22:23 +0100)]
bundle/ctrlp: Update to 5b98c7453f53453d4a0ebb5dc73d1f65ce676dcd.

11 years agosetup.sh: Link crontab into ~/.crontab.d if it's available.
Simon Ruderich [Fri, 14 Dec 2012 23:32:24 +0000 (00:32 +0100)]
setup.sh: Link crontab into ~/.crontab.d if it's available.

11 years agocrontab: Delete old CtrlP cache entries after a week.
Simon Ruderich [Fri, 14 Dec 2012 23:31:38 +0000 (00:31 +0100)]
crontab: Delete old CtrlP cache entries after a week.

11 years agovimrc: Use 'noignorecase' for * and #.
Simon Ruderich [Sun, 2 Dec 2012 22:06:44 +0000 (23:06 +0100)]
vimrc: Use 'noignorecase' for * and #.

11 years agovimrc: Fix compatibility with Vim 6.4 and later.
Simon Ruderich [Sun, 2 Dec 2012 21:56:34 +0000 (22:56 +0100)]
vimrc: Fix compatibility with Vim 6.4 and later.

11 years agovimrc: Don't display unexpected 'fileencoding' for help files.
Simon Ruderich [Sun, 2 Dec 2012 21:50:43 +0000 (22:50 +0100)]
vimrc: Don't display unexpected 'fileencoding' for help files.

11 years agovimrc: Display unexpected 'fileformat'/'fileencoding' in statusline.
Simon Ruderich [Sun, 2 Dec 2012 21:37:21 +0000 (22:37 +0100)]
vimrc: Display unexpected 'fileformat'/'fileencoding' in statusline.

11 years agobundle/ctrlp: Update to f1acbbc503f44fc6d9c057fa593733e4f074da5d.
Simon Ruderich [Sun, 2 Dec 2012 21:21:55 +0000 (22:21 +0100)]
bundle/ctrlp: Update to f1acbbc503f44fc6d9c057fa593733e4f074da5d.

11 years agoftplugin/tex/tex.xpt.vim: Add `[text?]^ to description's \item.
Simon Ruderich [Sun, 2 Dec 2012 21:07:40 +0000 (22:07 +0100)]
ftplugin/tex/tex.xpt.vim: Add `[text?]^ to description's \item.

11 years agoafter/syntax/perl.vim: Fix regex for continue/break.
Simon Ruderich [Sun, 2 Dec 2012 21:06:50 +0000 (22:06 +0100)]
after/syntax/perl.vim: Fix regex for continue/break.

11 years agoafter/syntax/perl.vim: Mark continue and break as Errors.
Simon Ruderich [Sun, 2 Dec 2012 21:01:20 +0000 (22:01 +0100)]
after/syntax/perl.vim: Mark continue and break as Errors.

The Perl keywords for loops are called next and last and though continue
and break are valid Perl keywords I never use them.

11 years agoafter/syntax/perl.vim: Use SpecialComment for shebangs.
Simon Ruderich [Sun, 2 Dec 2012 20:55:47 +0000 (21:55 +0100)]
after/syntax/perl.vim: Use SpecialComment for shebangs.

11 years agoafter/ftplugin/mail.vim: Fix documentation typo.
Simon Ruderich [Sun, 2 Dec 2012 20:53:01 +0000 (21:53 +0100)]
after/ftplugin/mail.vim: Fix documentation typo.

11 years agovimrc: Minor documentation update.
Simon Ruderich [Sun, 2 Dec 2012 20:52:48 +0000 (21:52 +0100)]
vimrc: Minor documentation update.

11 years agovim/after/syntax/gitcommit.vim: Don't fold diffs.
Simon Ruderich [Sun, 2 Dec 2012 20:45:12 +0000 (21:45 +0100)]
vim/after/syntax/gitcommit.vim: Don't fold diffs.

11 years agoafter/ftplugin/mail.vim: Fix regex to remove spaces after quotes.
Simon Ruderich [Mon, 26 Nov 2012 19:57:42 +0000 (20:57 +0100)]
after/ftplugin/mail.vim: Fix regex to remove spaces after quotes.

Broken in 5f16623bed6d265a859e6559fb2860f80f285302.

11 years agovimrc: Check if 'splitright' is available.
Simon Ruderich [Tue, 20 Nov 2012 22:02:45 +0000 (23:02 +0100)]
vimrc: Check if 'splitright' is available.

Also move it to a the EDITOR section.

11 years agovimrc: Use echoerr to warn about possible template files.
Simon Ruderich [Tue, 20 Nov 2012 21:23:35 +0000 (22:23 +0100)]
vimrc: Use echoerr to warn about possible template files.

echohl WarningMsg ... echohl None doesn't always display the message,
even with redraw.

11 years agovimrc: :make writes all files and not only the current one.
Simon Ruderich [Tue, 20 Nov 2012 21:22:32 +0000 (22:22 +0100)]
vimrc: :make writes all files and not only the current one.

11 years agovimrc: Fix indentation for 'statusline' comments.
Simon Ruderich [Tue, 20 Nov 2012 21:20:09 +0000 (22:20 +0100)]
vimrc: Fix indentation for 'statusline' comments.

11 years agovimrc: Use short function names in 'statusline'.
Simon Ruderich [Tue, 20 Nov 2012 21:18:13 +0000 (22:18 +0100)]
vimrc: Use short function names in 'statusline'.

11 years agovimrc: Enable fast terminal mode in GNU screen and tmux.
Simon Ruderich [Tue, 20 Nov 2012 21:01:57 +0000 (22:01 +0100)]
vimrc: Enable fast terminal mode in GNU screen and tmux.

11 years agovimrc: Create new split windows on the right.
Simon Ruderich [Tue, 20 Nov 2012 20:53:26 +0000 (21:53 +0100)]
vimrc: Create new split windows on the right.

11 years agoafter/ftplugin/php.vim: Move syntax settings to vimrc.
Simon Ruderich [Tue, 20 Nov 2012 20:50:07 +0000 (21:50 +0100)]
after/ftplugin/php.vim: Move syntax settings to vimrc.

11 years agovimrc: Add Java highlighting settings.
Simon Ruderich [Tue, 20 Nov 2012 00:27:11 +0000 (01:27 +0100)]
vimrc: Add Java highlighting settings.

11 years agoftplugin/tex/tex.xpt.vim: Add more snippets.
Simon Ruderich [Sun, 18 Nov 2012 14:45:17 +0000 (15:45 +0100)]
ftplugin/tex/tex.xpt.vim: Add more snippets.

11 years agoftplugin/text: Add, basic snippets for LaTeX.
Simon Ruderich [Sun, 18 Nov 2012 14:40:33 +0000 (15:40 +0100)]
ftplugin/text: Add, basic snippets for LaTeX.

11 years agoftplugin/java: Add, basic snippets for Java.
Simon Ruderich [Sat, 17 Nov 2012 15:01:41 +0000 (16:01 +0100)]
ftplugin/java: Add, basic snippets for Java.

11 years agoftplugin/*/*.xpt.vim: Add p (print) and pe (print error) snippets.
Simon Ruderich [Sat, 17 Nov 2012 15:01:06 +0000 (16:01 +0100)]
ftplugin/*/*.xpt.vim: Add p (print) and pe (print error) snippets.

11 years agoftplugin/*/*.xpt.vim: Set empty `description^ to XXX.
Simon Ruderich [Sat, 17 Nov 2012 15:00:40 +0000 (16:00 +0100)]
ftplugin/*/*.xpt.vim: Set empty `description^ to XXX.

11 years agoftplugin/*/*.xpt.vim: year is no placeholder.
Simon Ruderich [Sat, 17 Nov 2012 15:00:07 +0000 (16:00 +0100)]
ftplugin/*/*.xpt.vim: year is no placeholder.

11 years agovimrc: Disable spell mappings if there's no spell support.
Simon Ruderich [Thu, 15 Nov 2012 20:22:22 +0000 (21:22 +0100)]
vimrc: Disable spell mappings if there's no spell support.

11 years agoafter/ftplugin/mail.vim: Better regex to remove spaces between ">".
Simon Ruderich [Thu, 15 Nov 2012 20:17:00 +0000 (21:17 +0100)]
after/ftplugin/mail.vim: Better regex to remove spaces between ">".

11 years agoafter/ftplugin/mail.vim: Only remove trailing space after quotes.
Simon Ruderich [Thu, 15 Nov 2012 20:13:48 +0000 (21:13 +0100)]
after/ftplugin/mail.vim: Only remove trailing space after quotes.

It's important not to destroy whitespace in e.g. patches.

11 years agovimrc: Add StatuslineSyntaxGroup().
Simon Ruderich [Thu, 15 Nov 2012 20:00:19 +0000 (21:00 +0100)]
vimrc: Add StatuslineSyntaxGroup().

Useful to debug color schemes but not used by default.

11 years agovim/bundle/ctrlp: Update to 7251d9dce85e45b41dab00d7d7032713847ed5ea.
Simon Ruderich [Thu, 15 Nov 2012 19:56:36 +0000 (20:56 +0100)]
vim/bundle/ctrlp: Update to 7251d9dce85e45b41dab00d7d7032713847ed5ea.

11 years agovimrc: Add FSWitch settings.
Simon Ruderich [Thu, 15 Nov 2012 16:59:04 +0000 (17:59 +0100)]
vimrc: Add FSWitch settings.

11 years agocolors/simon.vim: Color booleans like constants.
Simon Ruderich [Thu, 15 Nov 2012 16:55:04 +0000 (17:55 +0100)]
colors/simon.vim: Color booleans like constants.

11 years agoterm2gui.pl: Add, convert 256-color terminal color scheme to GUI.
Simon Ruderich [Thu, 15 Nov 2012 16:51:40 +0000 (17:51 +0100)]
term2gui.pl: Add, convert 256-color terminal color scheme to GUI.

11 years agosetup.sh: Create documentation tags for pathogen plugins.
Simon Ruderich [Fri, 9 Nov 2012 23:08:53 +0000 (00:08 +0100)]
setup.sh: Create documentation tags for pathogen plugins.

11 years agovim/bundle/fswitch: Add as submodule.
Simon Ruderich [Wed, 7 Nov 2012 20:55:15 +0000 (21:55 +0100)]
vim/bundle/fswitch: Add as submodule.

11 years agovim/bundle/ctrlp: Add as submodule.
Simon Ruderich [Wed, 7 Nov 2012 20:29:12 +0000 (21:29 +0100)]
vim/bundle/ctrlp: Add as submodule.

11 years agovimrc: Add wildignore settings for C, Java, LaTeX and Python.
Simon Ruderich [Fri, 2 Nov 2012 16:57:00 +0000 (17:57 +0100)]
vimrc: Add wildignore settings for C, Java, LaTeX and Python.

11 years agovimrc: Fix assignments outside of if has('eval') block.
Simon Ruderich [Sat, 20 Oct 2012 10:57:02 +0000 (12:57 +0200)]
vimrc: Fix assignments outside of if has('eval') block.

11 years agovimrc: Add CtrlP settings.
Simon Ruderich [Sat, 20 Oct 2012 10:51:47 +0000 (12:51 +0200)]
vimrc: Add CtrlP settings.

11 years agovimrc: Don't open folds for block movements.
Simon Ruderich [Sat, 20 Oct 2012 10:42:20 +0000 (12:42 +0200)]
vimrc: Don't open folds for block movements.

11 years agovimrc: Display a warning when editing auto-generated files.
Simon Ruderich [Mon, 15 Oct 2012 20:09:59 +0000 (22:09 +0200)]
vimrc: Display a warning when editing auto-generated files.

Searches for "do not edit" (ignoring the case).

11 years agovimrc: Remap <C-L> only in normal mode.
Simon Ruderich [Fri, 12 Oct 2012 13:48:06 +0000 (15:48 +0200)]
vimrc: Remap <C-L> only in normal mode.

11 years ago.gitignore: Sort ignores.
Simon Ruderich [Fri, 12 Oct 2012 13:45:20 +0000 (15:45 +0200)]
.gitignore: Sort ignores.

11 years agovimrc: Minor documentation updates.
Simon Ruderich [Sun, 7 Oct 2012 23:26:52 +0000 (01:26 +0200)]
vimrc: Minor documentation updates.

11 years agocrontab: Add. Delete old CtrlP cache files.
Simon Ruderich [Sun, 7 Oct 2012 23:14:13 +0000 (01:14 +0200)]
crontab: Add. Delete old CtrlP cache files.

11 years agovimrc: Show completion menu even if only one entry matches.
Simon Ruderich [Sun, 7 Oct 2012 23:11:00 +0000 (01:11 +0200)]
vimrc: Show completion menu even if only one entry matches.

11 years agovimrc: Ignore case when completing files/directories.
Simon Ruderich [Sun, 7 Oct 2012 23:08:42 +0000 (01:08 +0200)]
vimrc: Ignore case when completing files/directories.

11 years agovimrc: Fix compatibility for older Vim versions.
Simon Ruderich [Sun, 2 Sep 2012 02:27:54 +0000 (04:27 +0200)]
vimrc: Fix compatibility for older Vim versions.

Works fine with Vim 6.4.

11 years agovimrc: Use pathogen#infect() available since 2.0.
Simon Ruderich [Sun, 2 Sep 2012 00:03:55 +0000 (02:03 +0200)]
vimrc: Use pathogen#infect() available since 2.0.

11 years agoautoload/pathogen.vim: Update to 2.0, revision 5e3fdd3.
Simon Ruderich [Sat, 1 Sep 2012 23:56:49 +0000 (01:56 +0200)]
autoload/pathogen.vim: Update to 2.0, revision 5e3fdd3.

Git revision 5e3fdd3a8a3b244e1fedd0f5597f4a9879e16c3b from [1].

[1]: https://github.com/tpope/vim-pathogen

11 years agovimrc: Add j to 'formatoptions' if available.
Simon Ruderich [Mon, 27 Aug 2012 18:15:47 +0000 (20:15 +0200)]
vimrc: Add j to 'formatoptions' if available.

11 years agovim/ftplugin/*/*.xpt.vim: Prevent errors if XPTemplate is not installed.
Simon Ruderich [Mon, 27 Aug 2012 14:55:42 +0000 (16:55 +0200)]
vim/ftplugin/*/*.xpt.vim: Prevent errors if XPTemplate is not installed.

11 years agovim/colors/simon.vim: Add support for GVim.
Simon Ruderich [Sun, 12 Aug 2012 18:19:23 +0000 (20:19 +0200)]
vim/colors/simon.vim: Add support for GVim.

11 years agovim/colors/simon.vim: Add examples for statementControl highlight.
Simon Ruderich [Sat, 11 Aug 2012 20:22:48 +0000 (22:22 +0200)]
vim/colors/simon.vim: Add examples for statementControl highlight.

11 years agovim/colors/simon.vim: Documentation update.
Simon Ruderich [Sat, 11 Aug 2012 20:22:31 +0000 (22:22 +0200)]
vim/colors/simon.vim: Documentation update.

11 years agovim/ftplugin/perl/perl.xpt.vim: Add missing year default.
Simon Ruderich [Thu, 2 Aug 2012 16:42:38 +0000 (18:42 +0200)]
vim/ftplugin/perl/perl.xpt.vim: Add missing year default.

11 years agovim/ftplugin: Add XPTemplate file skeletons for C and Perl.
Simon Ruderich [Thu, 2 Aug 2012 16:39:00 +0000 (18:39 +0200)]
vim/ftplugin: Add XPTemplate file skeletons for C and Perl.

11 years agovim/after/syntax: Special highlight for statement control in C and Perl.
Simon Ruderich [Thu, 2 Aug 2012 16:13:27 +0000 (18:13 +0200)]
vim/after/syntax: Special highlight for statement control in C and Perl.

When my color scheme is used.

11 years agovimrc: Always use unix line-endings for new files.
Simon Ruderich [Fri, 27 Jul 2012 01:00:40 +0000 (03:00 +0200)]
vimrc: Always use unix line-endings for new files.

11 years agovimrc: Only remove . from 'directory' if safe directories are available.
Simon Ruderich [Fri, 27 Jul 2012 00:59:40 +0000 (02:59 +0200)]
vimrc: Only remove . from 'directory' if safe directories are available.

11 years agovim/after/syntax/diff.vim: Add. Better highlighting for diff.
Simon Ruderich [Sat, 14 Jul 2012 01:44:02 +0000 (03:44 +0200)]
vim/after/syntax/diff.vim: Add. Better highlighting for diff.

11 years agovimrc: Show a message when viewing the first buffer again with gb.
Simon Ruderich [Wed, 4 Jul 2012 18:48:51 +0000 (20:48 +0200)]
vimrc: Show a message when viewing the first buffer again with gb.

11 years agovimrc: Minor documentation updates.
Simon Ruderich [Wed, 4 Jul 2012 18:48:22 +0000 (20:48 +0200)]
vimrc: Minor documentation updates.

11 years agovimrc: Use replacement for %f in statusline which uses relative paths.
Simon Ruderich [Sat, 30 Jun 2012 13:27:53 +0000 (15:27 +0200)]
vimrc: Use replacement for %f in statusline which uses relative paths.

11 years agovimrc: Minor documentation update.
Simon Ruderich [Sat, 30 Jun 2012 13:23:55 +0000 (15:23 +0200)]
vimrc: Minor documentation update.

11 years agovimrc: Also fix some English spelling mistakes.
Simon Ruderich [Sat, 30 Jun 2012 13:09:34 +0000 (15:09 +0200)]
vimrc: Also fix some English spelling mistakes.

11 years agovimrc: Only enable some items in securemodelines.
Simon Ruderich [Sat, 30 Jun 2012 13:06:20 +0000 (15:06 +0200)]
vimrc: Only enable some items in securemodelines.