3 " XPTemplate template file.
6 " Maintainer: Simon Ruderich <simon@ruderich.org>
9 " Copyright (C) 2014 Simon Ruderich
11 " This file is free software: you can redistribute it and/or modify
12 " it under the terms of the GNU General Public License as published by
13 " the Free Software Foundation, either version 3 of the License, or
14 " (at your option) any later version.
16 " This file is distributed in the hope that it will be useful,
17 " but WITHOUT ANY WARRANTY; without even the implied warranty of
18 " MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
19 " GNU General Public License for more details.
21 " You should have received a copy of the GNU General Public License
22 " along with this file. If not, see <http://www.gnu.org/licenses/>.
25 XPTemplate priority=lang
27 let s:f = g:XPTfuncs()
35 use ieee.std_logic_1164.all;
36 use ieee.numeric_std.all;
42 std_logic_vector(`from^ downto `to^)
53 architecture `behavioral^ of `entity^ is