3 " XPTemplate template file.
6 " Maintainer: Simon Ruderich <simon@ruderich.org>
10 XPTemplate priority=lang
12 let s:f = g:XPTfuncs()
20 use ieee.std_logic_1164.all;
21 use ieee.numeric_std.all;
27 std_logic_vector(`from^ downto `to^)
38 architecture `behavioral^ of `entity^ is