]> ruderich.org/simon Gitweb - config/dotfiles.git/blob - vim/vim/ftplugin/vhdl/vhdl.xpt.vim
6707b9c52e231298d5a9984216d59bbcb2ee347a
[config/dotfiles.git] / vim / vim / ftplugin / vhdl / vhdl.xpt.vim
1 " vim: ft=xpt
2
3 " XPTemplate template file.
4 "
5 " Language:     VHDL
6 " Maintainer:   Simon Ruderich <simon@ruderich.org>
7 " License:      GPL v3+
8
9 " Copyright (C) 2014  Simon Ruderich
10 "
11 " This file is free software: you can redistribute it and/or modify
12 " it under the terms of the GNU General Public License as published by
13 " the Free Software Foundation, either version 3 of the License, or
14 " (at your option) any later version.
15 "
16 " This file is distributed in the hope that it will be useful,
17 " but WITHOUT ANY WARRANTY; without even the implied warranty of
18 " MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19 " GNU General Public License for more details.
20 "
21 " You should have received a copy of the GNU General Public License
22 " along with this file.  If not, see <http://www.gnu.org/licenses/>.
23
24
25 XPTemplate priority=lang
26
27 let s:f = g:XPTfuncs()
28
29 XPTinclude
30       \ _common/common
31
32
33 XPT f
34 library ieee;
35 use ieee.std_logic_1164.all;
36 use ieee.numeric_std.all;
37
38 `cursor^
39
40
41 XPT slv
42 std_logic_vector(`from^ downto `to^)
43
44
45 XPT entity
46 entity `name^ is
47     port(
48         `cursor^
49     );
50 end entity;
51
52 XPT architecture
53 architecture `behavioral^ of `entity^ is
54 begin
55     `cursor^
56 end architecture;
57
58 XPT process
59 process`list^
60 begin
61     `cursor^
62 end process;
63
64 XPT if
65 if `condition^ then
66     `cursor^
67 end if;