f for file.
" Maintainer: Simon Ruderich <simon@ruderich.org>
" License: GPL v3+
-" Copyright (C) 2012 Simon Ruderich
+" Copyright (C) 2012-2014 Simon Ruderich
"
" This file is free software: you can redistribute it and/or modify
" it under the terms of the GNU General Public License as published by
XPTemplate priority=personal
-XPT c
+XPT f
XSET description|post=S(V(), '^description$', 'XXX')
/*
* `description^
" Maintainer: Simon Ruderich <simon@ruderich.org>
" License: GPL v3+
-" Copyright (C) 2012 Simon Ruderich
+" Copyright (C) 2012-2014 Simon Ruderich
"
" This file is free software: you can redistribute it and/or modify
" it under the terms of the GNU General Public License as published by
XPTemplate priority=personal
-XPT java
+XPT f
XSET description|post=S(V(), '^description$', 'XXX')
/*
* `description^
XPTemplate priority=personal
-XPT perl
+XPT f
XSET description|post=S(V(), '^description$', 'XXX')
#!/usr/bin/perl
\ _common/common
-XPT vhdl
+XPT f
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;