]> ruderich.org/simon Gitweb - config/dotfiles.git/commitdiff
xptemplate: use f as trigger to create the basic skeleton
authorSimon Ruderich <simon@ruderich.org>
Tue, 29 Apr 2014 14:08:10 +0000 (16:08 +0200)
committerSimon Ruderich <simon@ruderich.org>
Tue, 29 Apr 2014 14:08:10 +0000 (16:08 +0200)
f for file.

vim/ftplugin/c/c.xpt.vim
vim/ftplugin/java/java.xpt.vim
vim/ftplugin/perl/perl.xpt.vim
vim/ftplugin/vhdl/vhdl.xpt.vim

index 588d7c205abb102586537d2400ebe05961d22b62..aaeb7dbcd3d3adff18364e2c55f03562bd6a8b23 100644 (file)
@@ -6,7 +6,7 @@
 " Maintainer:   Simon Ruderich <simon@ruderich.org>
 " License:      GPL v3+
 
-" Copyright (C) 2012  Simon Ruderich
+" Copyright (C) 2012-2014  Simon Ruderich
 "
 " This file is free software: you can redistribute it and/or modify
 " it under the terms of the GNU General Public License as published by
@@ -30,7 +30,7 @@ endif
 XPTemplate priority=personal
 
 
-XPT c
+XPT f
 XSET description|post=S(V(), '^description$', 'XXX')
 /*
  * `description^
index 38a25f2f234e99e90b9778810b155aa535c6606b..c6749c849ffbfc607d53da721efa119376642cd5 100644 (file)
@@ -6,7 +6,7 @@
 " Maintainer:   Simon Ruderich <simon@ruderich.org>
 " License:      GPL v3+
 
-" Copyright (C) 2012  Simon Ruderich
+" Copyright (C) 2012-2014  Simon Ruderich
 "
 " This file is free software: you can redistribute it and/or modify
 " it under the terms of the GNU General Public License as published by
@@ -30,7 +30,7 @@ endif
 XPTemplate priority=personal
 
 
-XPT java
+XPT f
 XSET description|post=S(V(), '^description$', 'XXX')
 /*
  * `description^
index 9c734bed3af759954ab99db5be32d1359b50f21c..c2b431414596a7ab172573e0605c25685fdd370f 100644 (file)
@@ -30,7 +30,7 @@ endif
 XPTemplate priority=personal
 
 
-XPT perl
+XPT f
 XSET description|post=S(V(), '^description$', 'XXX')
 #!/usr/bin/perl
 
index adf93b2ddd4fdca68de8c6b28063a3bac4f0cfa1..6707b9c52e231298d5a9984216d59bbcb2ee347a 100644 (file)
@@ -30,7 +30,7 @@ XPTinclude
       \ _common/common
 
 
-XPT vhdl
+XPT f
 library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;